modelsim使用(modelsim吃显卡吗)
本文目录
modelsim吃显卡吗
吃ModelSim的使用:1、打开ModelSim软件;2、在modelsim中建立project,选择File-》New-》Project;3、在“ Project Name”栏中填写工程名;4、编译所选功能需要先选中一个或几个文件,执行该命令可以完成对选中文件的编译;编译全部功能不需要选中文件,该命令是按编译顺序对工程中的所有文件进行编译。Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。
如何用命令行启动modelsim,举个简单例子
1. 常用仿真命令vlib work // 建立work仿真库vmap work wrok // 映射库vlog -cover bcest *.v // 加覆盖率分析的编译vsim -coverage -voptargs=“+acc“ -t ns test // 仿真文件为test.vadd wave * // 将所有模块waveform. dump出来add wavesim:/test/t/M2/Reg_out // 将模块Reg_out中的waveform. dump出来delete wave /test/i2. SVA 断言仿真命令vlog -sv a.vvsim -assertdebug testview assertionsvsim -assertdebug ScaleBlock_tf -L xilinxcorelib_ver -L unisims_ver // 加载xilinxlib库3. verror 3601 // 查错4. 给仿真工具加载xilinx 库命令(1)加载之前将modelsim.ini改为非“只读”(2)“运行” cmd,到xilinx目录下(3) C:\Xilinx 》 compxlib -s mti_se -p c:\Modeltech_6.0\win32 -f all -l verilog -o C:\ Modeltech_6.0\Xilinx_lbis或者 Xilinx目录下.\bin\nt\下有compxlib.exe
更多文章:

js随机数5到10(js随机输出设定5,6,9,10这4个数字,是每次只输出其中的一个数字)
2025年3月8日 16:00

ethnic group(学校申请书上要填ethnic group 黄种人用英语怎么说呢)
2025年2月13日 22:40

全球一线游戏制作公司有哪些?高中毕业,能学习游戏开发吗有前途吗
2025年3月11日 17:00

制作代码的软件(我想自己制作网页,对源代码不懂.请问用什么软件好)
2025年2月25日 20:40

accustomed to do还是doing(be accustomed to 是接doing 还是接do)
2025年3月9日 17:50